You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
cpu32/alu.dig

1132 lines
25 KiB

<?xml version="1.0" encoding="utf-8"?>
<circuit>
<version>1</version>
<attributes/>
<visualElements>
<visualElement>
<elementName>Sub</elementName>
<elementAttributes>
<entry>
<string>Bits</string>
<int>32</int>
</entry>
</elementAttributes>
<pos x="420" y="560"/>
</visualElement>
<visualElement>
<elementName>And</elementName>
<elementAttributes>
<entry>
<string>Bits</string>
<int>32</int>
</entry>
<entry>
<string>wideShape</string>
<boolean>true</boolean>
</entry>
</elementAttributes>
<pos x="420" y="860"/>
</visualElement>
<visualElement>
<elementName>Or</elementName>
<elementAttributes>
<entry>
<string>Bits</string>
<int>32</int>
</entry>
<entry>
<string>wideShape</string>
<boolean>true</boolean>
</entry>
</elementAttributes>
<pos x="420" y="960"/>
</visualElement>
<visualElement>
<elementName>XOr</elementName>
<elementAttributes>
<entry>
<string>Bits</string>
<int>32</int>
</entry>
<entry>
<string>wideShape</string>
<boolean>true</boolean>
</entry>
</elementAttributes>
<pos x="420" y="1060"/>
</visualElement>
<visualElement>
<elementName>In</elementName>
<elementAttributes>
<entry>
<string>Label</string>
<string>a</string>
</entry>
<entry>
<string>Bits</string>
<int>32</int>
</entry>
</elementAttributes>
<pos x="-40" y="240"/>
</visualElement>
<visualElement>
<elementName>In</elementName>
<elementAttributes>
<entry>
<string>Label</string>
<string>b</string>
</entry>
<entry>
<string>Bits</string>
<int>32</int>
</entry>
</elementAttributes>
<pos x="-40" y="300"/>
</visualElement>
<visualElement>
<elementName>multiply-add.dig</elementName>
<elementAttributes/>
<pos x="420" y="320"/>
</visualElement>
<visualElement>
<elementName>In</elementName>
<elementAttributes>
<entry>
<string>Label</string>
<string>c</string>
</entry>
<entry>
<string>Bits</string>
<int>32</int>
</entry>
</elementAttributes>
<pos x="-40" y="380"/>
</visualElement>
<visualElement>
<elementName>Neg</elementName>
<elementAttributes>
<entry>
<string>Bits</string>
<int>32</int>
</entry>
</elementAttributes>
<pos x="420" y="800"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes>
<entry>
<string>Label</string>
<string>LZ</string>
</entry>
</elementAttributes>
<pos x="1360" y="1080"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes>
<entry>
<string>Label</string>
<string>Z</string>
</entry>
</elementAttributes>
<pos x="1360" y="1160"/>
</visualElement>
<visualElement>
<elementName>Splitter</elementName>
<elementAttributes>
<entry>
<string>Input Splitting</string>
<string>32</string>
</entry>
<entry>
<string>Output Splitting</string>
<string>31,1</string>
</entry>
</elementAttributes>
<pos x="960" y="1220"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes>
<entry>
<string>Label</string>
<string>N</string>
</entry>
</elementAttributes>
<pos x="1360" y="1240"/>
</visualElement>
<visualElement>
<elementName>Add</elementName>
<elementAttributes>
<entry>
<string>Bits</string>
<int>32</int>
</entry>
</elementAttributes>
<pos x="420" y="460"/>
</visualElement>
<visualElement>
<elementName>XOr</elementName>
<elementAttributes>
<entry>
<string>wideShape</string>
<boolean>true</boolean>
</entry>
</elementAttributes>
<pos x="1040" y="1320"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes>
<entry>
<string>Label</string>
<string>V</string>
</entry>
</elementAttributes>
<pos x="1360" y="1360"/>
</visualElement>
<visualElement>
<elementName>Multiplexer</elementName>
<elementAttributes>
<entry>
<string>Selector Bits</string>
<int>3</int>
</entry>
<entry>
<string>Bits</string>
<int>32</int>
</entry>
</elementAttributes>
<pos x="860" y="1460"/>
</visualElement>
<visualElement>
<elementName>Multiplexer</elementName>
<elementAttributes>
<entry>
<string>Selector Bits</string>
<int>3</int>
</entry>
</elementAttributes>
<pos x="860" y="1680"/>
</visualElement>
<visualElement>
<elementName>Const</elementName>
<elementAttributes>
<entry>
<string>Value</string>
<long>0</long>
</entry>
</elementAttributes>
<pos x="820" y="1820"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes>
<entry>
<string>Label</string>
<string>u0</string>
</entry>
<entry>
<string>Bits</string>
<int>32</int>
</entry>
</elementAttributes>
<pos x="1360" y="1540"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes>
<entry>
<string>Label</string>
<string>u1</string>
</entry>
<entry>
<string>Bits</string>
<int>32</int>
</entry>
</elementAttributes>
<pos x="1360" y="340"/>
</visualElement>
<visualElement>
<elementName>Out</elementName>
<elementAttributes>
<entry>
<string>Label</string>
<string>C_o</string>
</entry>
</elementAttributes>
<pos x="1360" y="1760"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>s</string>
</entry>
</elementAttributes>
<pos x="920" y="1660"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>s</string>
</entry>
</elementAttributes>
<pos x="920" y="1880"/>
</visualElement>
<visualElement>
<elementName>In</elementName>
<elementAttributes>
<entry>
<string>Label</string>
<string>C_i</string>
</entry>
</elementAttributes>
<pos x="-40" y="180"/>
</visualElement>
<visualElement>
<elementName>In</elementName>
<elementAttributes>
<entry>
<string>Label</string>
<string>Op</string>
</entry>
<entry>
<string>Bits</string>
<int>4</int>
</entry>
</elementAttributes>
<pos x="-40" y="0"/>
</visualElement>
<visualElement>
<elementName>Splitter</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
<entry>
<string>Input Splitting</string>
<string>32</string>
</entry>
<entry>
<string>Output Splitting</string>
<string>31,1</string>
</entry>
</elementAttributes>
<pos x="380" y="1160"/>
</visualElement>
<visualElement>
<elementName>Splitter</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
<entry>
<string>Input Splitting</string>
<string>32</string>
</entry>
<entry>
<string>Output Splitting</string>
<string>31,1</string>
</entry>
</elementAttributes>
<pos x="340" y="1160"/>
</visualElement>
<visualElement>
<elementName>Tunnel</elementName>
<elementAttributes>
<entry>
<string>NetName</string>
<string>s</string>
</entry>
</elementAttributes>
<pos x="580" y="0"/>
</visualElement>
<visualElement>
<elementName>Splitter</elementName>
<elementAttributes>
<entry>
<string>Input Splitting</string>
<string>1*3</string>
</entry>
<entry>
<string>Output Splitting</string>
<string>3</string>
</entry>
</elementAttributes>
<pos x="520" y="0"/>
</visualElement>
<visualElement>
<elementName>Splitter</elementName>
<elementAttributes>
<entry>
<string>Input Splitting</string>
<string>4</string>
</entry>
<entry>
<string>Output Splitting</string>
<string>1*4</string>
</entry>
</elementAttributes>
<pos x="60" y="0"/>
</visualElement>
<visualElement>
<elementName>And</elementName>
<elementAttributes>
<entry>
<string>wideShape</string>
<boolean>true</boolean>
</entry>
</elementAttributes>
<pos x="180" y="100"/>
</visualElement>
<visualElement>
<elementName>Splitter</elementName>
<elementAttributes>
<entry>
<string>Input Splitting</string>
<string>32</string>
</entry>
<entry>
<string>Output Splitting</string>
<string>5</string>
</entry>
</elementAttributes>
<pos x="420" y="680"/>
</visualElement>
<visualElement>
<elementName>And</elementName>
<elementAttributes>
<entry>
<string>wideShape</string>
<boolean>true</boolean>
</entry>
<entry>
<string>inverterConfig</string>
<inverterConfig>
<string>In_2</string>
</inverterConfig>
</entry>
</elementAttributes>
<pos x="380" y="80"/>
</visualElement>
<visualElement>
<elementName>And</elementName>
<elementAttributes>
<entry>
<string>wideShape</string>
<boolean>true</boolean>
</entry>
</elementAttributes>
<pos x="1160" y="1340"/>
</visualElement>
<visualElement>
<elementName>XNOr</elementName>
<elementAttributes>
<entry>
<string>rotation</string>
<rotation rotation="3"/>
</entry>
<entry>
<string>wideShape</string>
<boolean>true</boolean>
</entry>
</elementAttributes>
<pos x="360" y="1260"/>
</visualElement>
<visualElement>
<elementName>And</elementName>
<elementAttributes>
<entry>
<string>wideShape</string>
<boolean>true</boolean>
</entry>
</elementAttributes>
<pos x="1240" y="1060"/>
</visualElement>
<visualElement>
<elementName>nor32.dig</elementName>
<elementAttributes/>
<pos x="1100" y="1040"/>
</visualElement>
<visualElement>
<elementName>nor32.dig</elementName>
<elementAttributes/>
<pos x="1100" y="1120"/>
</visualElement>
<visualElement>
<elementName>shift32.dig</elementName>
<elementAttributes/>
<pos x="480" y="660"/>
</visualElement>
</visualElements>
<wires>
<wire>
<p1 x="80" y="0"/>
<p2 x="520" y="0"/>
</wire>
<wire>
<p1 x="-40" y="0"/>
<p2 x="60" y="0"/>
</wire>
<wire>
<p1 x="540" y="0"/>
<p2 x="580" y="0"/>
</wire>
<wire>
<p1 x="360" y="900"/>
<p2 x="420" y="900"/>
</wire>
<wire>
<p1 x="580" y="1540"/>
<p2 x="860" y="1540"/>
</wire>
<wire>
<p1 x="900" y="1540"/>
<p2 x="920" y="1540"/>
</wire>
<wire>
<p1 x="920" y="1540"/>
<p2 x="1360" y="1540"/>
</wire>
<wire>
<p1 x="840" y="1800"/>
<p2 x="860" y="1800"/>
</wire>
<wire>
<p1 x="1200" y="1160"/>
<p2 x="1360" y="1160"/>
</wire>
<wire>
<p1 x="120" y="140"/>
<p2 x="180" y="140"/>
</wire>
<wire>
<p1 x="800" y="1040"/>
<p2 x="1100" y="1040"/>
</wire>
<wire>
<p1 x="1160" y="1040"/>
<p2 x="1200" y="1040"/>
</wire>
<wire>
<p1 x="780" y="1680"/>
<p2 x="860" y="1680"/>
</wire>
<wire>
<p1 x="340" y="400"/>
<p2 x="420" y="400"/>
</wire>
<wire>
<p1 x="540" y="660"/>
<p2 x="600" y="660"/>
</wire>
<wire>
<p1 x="380" y="660"/>
<p2 x="480" y="660"/>
</wire>
<wire>
<p1 x="80" y="20"/>
<p2 x="520" y="20"/>
</wire>
<wire>
<p1 x="560" y="1560"/>
<p2 x="860" y="1560"/>
</wire>
<wire>
<p1 x="820" y="1820"/>
<p2 x="840" y="1820"/>
</wire>
<wire>
<p1 x="840" y="1820"/>
<p2 x="860" y="1820"/>
</wire>
<wire>
<p1 x="380" y="800"/>
<p2 x="420" y="800"/>
</wire>
<wire>
<p1 x="480" y="800"/>
<p2 x="580" y="800"/>
</wire>
<wire>
<p1 x="380" y="1060"/>
<p2 x="420" y="1060"/>
</wire>
<wire>
<p1 x="1200" y="1060"/>
<p2 x="1240" y="1060"/>
</wire>
<wire>
<p1 x="760" y="1700"/>
<p2 x="860" y="1700"/>
</wire>
<wire>
<p1 x="540" y="680"/>
<p2 x="720" y="680"/>
</wire>
<wire>
<p1 x="360" y="680"/>
<p2 x="420" y="680"/>
</wire>
<wire>
<p1 x="440" y="680"/>
<p2 x="480" y="680"/>
</wire>
<wire>
<p1 x="1020" y="1320"/>
<p2 x="1040" y="1320"/>
</wire>
<wire>
<p1 x="80" y="40"/>
<p2 x="140" y="40"/>
</wire>
<wire>
<p1 x="500" y="40"/>
<p2 x="520" y="40"/>
</wire>
<wire>
<p1 x="140" y="40"/>
<p2 x="340" y="40"/>
</wire>
<wire>
<p1 x="540" y="1580"/>
<p2 x="860" y="1580"/>
</wire>
<wire>
<p1 x="-40" y="300"/>
<p2 x="360" y="300"/>
</wire>
<wire>
<p1 x="380" y="560"/>
<p2 x="420" y="560"/>
</wire>
<wire>
<p1 x="480" y="560"/>
<p2 x="620" y="560"/>
</wire>
<wire>
<p1 x="-40" y="180"/>
<p2 x="340" y="180"/>
</wire>
<wire>
<p1 x="660" y="1460"/>
<p2 x="860" y="1460"/>
</wire>
<wire>
<p1 x="500" y="1080"/>
<p2 x="520" y="1080"/>
</wire>
<wire>
<p1 x="1320" y="1080"/>
<p2 x="1360" y="1080"/>
</wire>
<wire>
<p1 x="740" y="1720"/>
<p2 x="860" y="1720"/>
</wire>
<wire>
<p1 x="1120" y="1340"/>
<p2 x="1160" y="1340"/>
</wire>
<wire>
<p1 x="80" y="60"/>
<p2 x="120" y="60"/>
</wire>
<wire>
<p1 x="120" y="60"/>
<p2 x="320" y="60"/>
</wire>
<wire>
<p1 x="340" y="700"/>
<p2 x="480" y="700"/>
</wire>
<wire>
<p1 x="380" y="960"/>
<p2 x="420" y="960"/>
</wire>
<wire>
<p1 x="520" y="1600"/>
<p2 x="860" y="1600"/>
</wire>
<wire>
<p1 x="480" y="320"/>
<p2 x="660" y="320"/>
</wire>
<wire>
<p1 x="320" y="320"/>
<p2 x="420" y="320"/>
</wire>
<wire>
<p1 x="360" y="580"/>
<p2 x="420" y="580"/>
</wire>
<wire>
<p1 x="480" y="580"/>
<p2 x="740" y="580"/>
</wire>
<wire>
<p1 x="920" y="1220"/>
<p2 x="960" y="1220"/>
</wire>
<wire>
<p1 x="640" y="1480"/>
<p2 x="860" y="1480"/>
</wire>
<wire>
<p1 x="340" y="1100"/>
<p2 x="360" y="1100"/>
</wire>
<wire>
<p1 x="1200" y="1100"/>
<p2 x="1240" y="1100"/>
</wire>
<wire>
<p1 x="360" y="1100"/>
<p2 x="420" y="1100"/>
</wire>
<wire>
<p1 x="380" y="460"/>
<p2 x="420" y="460"/>
</wire>
<wire>
<p1 x="480" y="460"/>
<p2 x="640" y="460"/>
</wire>
<wire>
<p1 x="720" y="1740"/>
<p2 x="860" y="1740"/>
</wire>
<wire>
<p1 x="1240" y="1360"/>
<p2 x="1360" y="1360"/>
</wire>
<wire>
<p1 x="400" y="1360"/>
<p2 x="1040" y="1360"/>
</wire>
<wire>
<p1 x="340" y="80"/>
<p2 x="380" y="80"/>
</wire>
<wire>
<p1 x="320" y="720"/>
<p2 x="480" y="720"/>
</wire>
<wire>
<p1 x="500" y="980"/>
<p2 x="540" y="980"/>
</wire>
<wire>
<p1 x="380" y="340"/>
<p2 x="420" y="340"/>
</wire>
<wire>
<p1 x="480" y="340"/>
<p2 x="800" y="340"/>
</wire>
<wire>
<p1 x="800" y="340"/>
<p2 x="1360" y="340"/>
</wire>
<wire>
<p1 x="980" y="1240"/>
<p2 x="1020" y="1240"/>
</wire>
<wire>
<p1 x="360" y="1240"/>
<p2 x="400" y="1240"/>
</wire>
<wire>
<p1 x="1020" y="1240"/>
<p2 x="1360" y="1240"/>
</wire>
<wire>
<p1 x="340" y="600"/>
<p2 x="420" y="600"/>
</wire>
<wire>
<p1 x="880" y="1880"/>
<p2 x="920" y="1880"/>
</wire>
<wire>
<p1 x="620" y="1500"/>
<p2 x="860" y="1500"/>
</wire>
<wire>
<p1 x="380" y="860"/>
<p2 x="420" y="860"/>
</wire>
<wire>
<p1 x="920" y="1120"/>
<p2 x="1100" y="1120"/>
</wire>
<wire>
<p1 x="1160" y="1120"/>
<p2 x="1200" y="1120"/>
</wire>
<wire>
<p1 x="360" y="480"/>
<p2 x="420" y="480"/>
</wire>
<wire>
<p1 x="480" y="480"/>
<p2 x="760" y="480"/>
</wire>
<wire>
<p1 x="840" y="1760"/>
<p2 x="860" y="1760"/>
</wire>
<wire>
<p1 x="900" y="1760"/>
<p2 x="1360" y="1760"/>
</wire>
<wire>
<p1 x="140" y="100"/>
<p2 x="180" y="100"/>
</wire>
<wire>
<p1 x="460" y="100"/>
<p2 x="500" y="100"/>
</wire>
<wire>
<p1 x="340" y="1380"/>
<p2 x="1160" y="1380"/>
</wire>
<wire>
<p1 x="300" y="740"/>
<p2 x="480" y="740"/>
</wire>
<wire>
<p1 x="360" y="1000"/>
<p2 x="420" y="1000"/>
</wire>
<wire>
<p1 x="360" y="360"/>
<p2 x="420" y="360"/>
</wire>
<wire>
<p1 x="480" y="360"/>
<p2 x="780" y="360"/>
</wire>
<wire>
<p1 x="-40" y="240"/>
<p2 x="380" y="240"/>
</wire>
<wire>
<p1 x="500" y="880"/>
<p2 x="560" y="880"/>
</wire>
<wire>
<p1 x="600" y="1520"/>
<p2 x="860" y="1520"/>
</wire>
<wire>
<p1 x="340" y="500"/>
<p2 x="420" y="500"/>
</wire>
<wire>
<p1 x="840" y="1780"/>
<p2 x="860" y="1780"/>
</wire>
<wire>
<p1 x="260" y="120"/>
<p2 x="300" y="120"/>
</wire>
<wire>
<p1 x="300" y="120"/>
<p2 x="360" y="120"/>
</wire>
<wire>
<p1 x="-40" y="380"/>
<p2 x="420" y="380"/>
</wire>
<wire>
<p1 x="880" y="1660"/>
<p2 x="920" y="1660"/>
</wire>
<wire>
<p1 x="320" y="60"/>
<p2 x="320" y="320"/>
</wire>
<wire>
<p1 x="320" y="1180"/>
<p2 x="320" y="1260"/>
</wire>
<wire>
<p1 x="320" y="320"/>
<p2 x="320" y="720"/>
</wire>
<wire>
<p1 x="640" y="460"/>
<p2 x="640" y="1480"/>
</wire>
<wire>
<p1 x="640" y="1480"/>
<p2 x="640" y="1840"/>
</wire>
<wire>
<p1 x="580" y="800"/>
<p2 x="580" y="1540"/>
</wire>
<wire>
<p1 x="580" y="1540"/>
<p2 x="580" y="1840"/>
</wire>
<wire>
<p1 x="520" y="1080"/>
<p2 x="520" y="1600"/>
</wire>
<wire>
<p1 x="520" y="1600"/>
<p2 x="520" y="1840"/>
</wire>
<wire>
<p1 x="840" y="1760"/>
<p2 x="840" y="1780"/>
</wire>
<wire>
<p1 x="840" y="1780"/>
<p2 x="840" y="1800"/>
</wire>
<wire>
<p1 x="840" y="1800"/>
<p2 x="840" y="1820"/>
</wire>
<wire>
<p1 x="780" y="360"/>
<p2 x="780" y="1680"/>
</wire>
<wire>
<p1 x="780" y="1680"/>
<p2 x="780" y="1840"/>
</wire>
<wire>
<p1 x="140" y="40"/>
<p2 x="140" y="100"/>
</wire>
<wire>
<p1 x="720" y="680"/>
<p2 x="720" y="1740"/>
</wire>
<wire>
<p1 x="720" y="1740"/>
<p2 x="720" y="1840"/>
</wire>
<wire>
<p1 x="400" y="1240"/>
<p2 x="400" y="1360"/>
</wire>
<wire>
<p1 x="340" y="1100"/>
<p2 x="340" y="1160"/>
</wire>
<wire>
<p1 x="340" y="40"/>
<p2 x="340" y="80"/>
</wire>
<wire>
<p1 x="340" y="1360"/>
<p2 x="340" y="1380"/>
</wire>
<wire>
<p1 x="340" y="180"/>
<p2 x="340" y="400"/>
</wire>
<wire>
<p1 x="340" y="500"/>
<p2 x="340" y="600"/>
</wire>
<wire>
<p1 x="340" y="600"/>
<p2 x="340" y="700"/>
</wire>
<wire>
<p1 x="340" y="400"/>
<p2 x="340" y="500"/>
</wire>
<wire>
<p1 x="660" y="320"/>
<p2 x="660" y="1460"/>
</wire>
<wire>
<p1 x="660" y="1460"/>
<p2 x="660" y="1840"/>
</wire>
<wire>
<p1 x="600" y="660"/>
<p2 x="600" y="1520"/>
</wire>
<wire>
<p1 x="600" y="1520"/>
<p2 x="600" y="1840"/>
</wire>
<wire>
<p1 x="920" y="1120"/>
<p2 x="920" y="1220"/>
</wire>
<wire>
<p1 x="920" y="1220"/>
<p2 x="920" y="1540"/>
</wire>
<wire>
<p1 x="540" y="980"/>
<p2 x="540" y="1580"/>
</wire>
<wire>
<p1 x="540" y="1580"/>
<p2 x="540" y="1840"/>
</wire>
<wire>
<p1 x="800" y="340"/>
<p2 x="800" y="1040"/>
</wire>
<wire>
<p1 x="740" y="580"/>
<p2 x="740" y="1720"/>
</wire>
<wire>
<p1 x="740" y="1720"/>
<p2 x="740" y="1840"/>
</wire>
<wire>
<p1 x="360" y="1180"/>
<p2 x="360" y="1240"/>
</wire>
<wire>
<p1 x="360" y="300"/>
<p2 x="360" y="360"/>
</wire>
<wire>
<p1 x="360" y="360"/>
<p2 x="360" y="480"/>
</wire>
<wire>
<p1 x="360" y="900"/>
<p2 x="360" y="1000"/>
</wire>
<wire>
<p1 x="360" y="680"/>
<p2 x="360" y="900"/>
</wire>
<wire>
<p1 x="360" y="1240"/>
<p2 x="360" y="1260"/>
</wire>
<wire>
<p1 x="360" y="480"/>
<p2 x="360" y="580"/>
</wire>
<wire>
<p1 x="360" y="1000"/>
<p2 x="360" y="1100"/>
</wire>
<wire>
<p1 x="360" y="580"/>
<p2 x="360" y="680"/>
</wire>
<wire>
<p1 x="620" y="560"/>
<p2 x="620" y="1500"/>
</wire>
<wire>
<p1 x="620" y="1500"/>
<p2 x="620" y="1840"/>
</wire>
<wire>
<p1 x="300" y="120"/>
<p2 x="300" y="740"/>
</wire>
<wire>
<p1 x="1200" y="1100"/>
<p2 x="1200" y="1120"/>
</wire>
<wire>
<p1 x="1200" y="1040"/>
<p2 x="1200" y="1060"/>
</wire>
<wire>
<p1 x="1200" y="1120"/>
<p2 x="1200" y="1160"/>
</wire>
<wire>
<p1 x="560" y="880"/>
<p2 x="560" y="1560"/>
</wire>
<wire>
<p1 x="560" y="1560"/>
<p2 x="560" y="1840"/>
</wire>
<wire>
<p1 x="880" y="1620"/>
<p2 x="880" y="1660"/>
</wire>
<wire>
<p1 x="880" y="1840"/>
<p2 x="880" y="1880"/>
</wire>
<wire>
<p1 x="500" y="40"/>
<p2 x="500" y="100"/>
</wire>
<wire>
<p1 x="120" y="60"/>
<p2 x="120" y="140"/>
</wire>
<wire>
<p1 x="760" y="480"/>
<p2 x="760" y="1700"/>
</wire>
<wire>
<p1 x="760" y="1700"/>
<p2 x="760" y="1840"/>
</wire>
<wire>
<p1 x="1020" y="1240"/>
<p2 x="1020" y="1320"/>
</wire>
<wire>
<p1 x="380" y="240"/>
<p2 x="380" y="340"/>
</wire>
<wire>
<p1 x="380" y="800"/>
<p2 x="380" y="860"/>
</wire>
<wire>
<p1 x="380" y="1060"/>
<p2 x="380" y="1160"/>
</wire>
<wire>
<p1 x="380" y="560"/>
<p2 x="380" y="660"/>
</wire>
<wire>
<p1 x="380" y="340"/>
<p2 x="380" y="460"/>
</wire>
<wire>
<p1 x="380" y="860"/>
<p2 x="380" y="960"/>
</wire>
<wire>
<p1 x="380" y="660"/>
<p2 x="380" y="800"/>
</wire>
<wire>
<p1 x="380" y="960"/>
<p2 x="380" y="1060"/>
</wire>
<wire>
<p1 x="380" y="460"/>
<p2 x="380" y="560"/>
</wire>
</wires>
<measurementOrdering/>
</circuit>